config_db机制用于在UVM验证平台间传递参数。它们通常都是成对出现的。set函数是寄信,而get函数是收信。 【在哪里用】 build_phase中使用cfg_db机制 【set 寄信】 uvm_config_db#(int)::set(this,"env.i_agt.drv","pre_num",100); int:需要填写要送的东西的类型 【get 收信】 uvm_config_db#(int):...
uvm 自定义的只有这样的四种uvm_config_db,那么就不能直接传递数组、枚举、队列等类型的变量。 2.传递数组 2.1在一个component自定义一个int类型的动态数组 (类型可以更改,定宽数组也是一样): 2.2利用自定义的动态数组类型,声明一个句柄: 2.3给动态数组实例化,并调用uvm_config_db::set函数: 2.4在另一个compone...
uvm_config_db#(int)::get(null,"uvm_test_top.env.i_agt.drv","pre_num_max", p re_num_max); set及get函数中第三个参数可以与get函数中第四个参数不一样,只要保持set和get中第三个参数一致即可也可以。 uvm_config_db#(int)::set(this,"env.i_agt.drv","p_num",100); uvm_config_db#(...
int my_param_val; config_db.get(null, "my_param_key", my_param_val); $display("my_param = %0d", my_param_val); ``` 通过以上操作,我们成功实现了使用uvm_config_db传递和获取配置参数的过程。 总结一下,uvm_config_db在Kubernetes中扮演着重要的角色,能够帮助我们在测试环境中管理和分发配置参...
uvm_config_db的用途大概有如下三种: 传递virtual interface到环境中。 设置单一变量值,如int.string.enum等。 传递配置对象(config object)到环境中 在使用uvm_config_db的配置方法时,下面给出一些建议: · 在使用set/get方法时,传递的参数类型应当上下保持一致。对于uvm_object等实例的传递,如果get类型与set类型不...
2.config_db 设置的参数有两种,一种是结构性参数,如控制driver是否例化的is_active: agent时指定: 3非结构性的参数: build_phase: 也可在build_phase 之后的任意phase 使用绝对路径进行设置: 1)向sequence 中传递参数,可以像10.3.2节,在virtual sequence 中启动sequence,并赋值。
对于uvm_config_db来说,在调用get()函数检索数据库的时候,get()函数传递的{cntxt,”.”, inst_name}会作为uvm_re_match()的str的实参,set()函数设置的{cntxt,”.”, inst_name}在经过uvm_glob_to_re()处理后作为uvm_re_match()的实参,这也就是为什么set()参数的inst_name支持正则格式,而get()参数...
config_db 是参数化的,它的类型可以是标量、类句柄、队列、列表,甚至是虚拟接口。通过uvm_config_db::set 和 uvm_config_db::get 函数进行全局存储和检索数据库中的信息。二、set和get函数 1、set函数 set函数的原型为 static function void set(uvm_component cntxt,string inst_name,string field_name,T ...
uvm_config_db——半个全局变量 UVM中的配置机制uvm_config_db,uvm_config_db机制⽤于在UVM平台间传递参数。它们通常是成对出现的,set 寄信,⽽get函数是收信。config 机制⼤⼤提⾼了UVM的验证灵活性。例如module 和 class 连接通过config_db virtual interace.module tb_top initial begin uvm_...
综上,uvm_config_db::set()和uvm_resource_db::set()是没有任何继承继承关系的。 从user的角度来讲,uvm_config_db::set/get是经常用到的。 第一个参数是uvm_component,所以一定要特别留心在sequence中参数设定的时候,这里不能是this,必须要是一个uvm_component的类型。