示例中,通过$timeformat指定了“%t”输出时间的格式,“-9”表示要显示的时间是按照“1ns”为单位得到的,“3”表示显示的时间中小数部分的位数,“HaHaHa”为时间字符串之后的后缀字符串,这个字符串一般指定为时间的单位,最后一个参数“2”表示“%t”显示的整个字符串长度的最小值,因为此时的时间字符串和后缀字符...
SystemVerilog允许使用数值和单位来明确指定一个时间值。 $timeformat的四个参数分别是时间标度、小数点后的数据精度、时间值之后的后缀字符串、显示数值的最小宽度。 // 例3.26 时间参数和$timeformat module timing ; timeunit 1ns ; timeprecision 1ps ; initial begin $timeformat(-9, 3, "ns", 8...
SystemVerilog允许使用数值和单位来明确指定一个时间值。 $timeformat的四个参数分别是时间标度、小数点后的数据精度、时间值之后的后缀字符串、显示数值的最小宽度。 // 例3.26 时间参数和$timeformat module timing ; timeunit 1ns ; timeprecision 1ps ; initial begin $timeformat(-9, 3, "ns", 8...
$timeformat函数则用于控制%t打印时间的输出格式。函数原型如下: 常用的时间单位1ns对应unit_number=-9。默认值取决于当前scope的timescale.
program automatic test(pkt_if_pack bus); string tc_name; base_test tc; initial begin $printtimescale; $timeformat(-9, 3, "..ns..", 6); end initial begin if(!$value$plusargs("tc_name=%s", tc_name)) $error("no tc_name!"); else $display("tc name = %0s", tc_name); if...
//timeuint(单位),timeprecision(最小精度) //$timeformat(时间标度,小数点后位数,后缀字符串,显示数值的宽度) -9为ns,-12为ps module time; timeuint 1ns; timeprecision 1ps; initial begin $timeformat(-9,3,"ns",8); #1 $display("%t",$realtime); //1.000ns; ...
$timeformat有四个参数:时间标度(-9代表纳秒,-12代表皮秒),小数点后的数据精度 ,时间值之后的后缀字符串,显示数组的最小宽度。 $time:返回一个根据所在模块的时间精度要求舍入的整数 $realtime:返回一个带小数的完整实数 时间变量 时间值可以存放在变量中,根据当前的时间量程和精度,时间值会被缩放或舍入。
`timescale 1ns/1ns module test; string testname; initial begin $timeformat(-9, 0, "ns", 4); if($value$plusargs("TEST=%s", testname)) begin $display("TESTNAME=%s", testname); end if(testname=="ALL") begin fork send(7); ...
$timeformat指定显示格式。 $realtime返回一个实数时间值。 $time返回一个64位整数时间值。 time 类型变量不能保存小数,他们是64位的整型。 实型(real)变量可以保存整型 `timescale 1ps/1fs module timeing(); initial begin real rDelay=800fs; //以0.8存储,因为单位是ps time tDelay=800fs; //四舍五入...
3.7.4 $time与$realtime的对比 3.8 结束语 第4章 连接设计和测试平台 4.1 将测试平台和设计分开 4.1.1 测试平台和DUT之间的通信 4.1.2 与端口的通信 4.2 接口 4.2.1 使用接口来简化连接 4.2.2 连接接口和端口 4.2.3 使用modport将接口中的信号分组 ...